50695 晶圓代工,戰火蔓延

热re99久久精品国99热-亚洲 中文 欧美 日韩 在线-99久久精品无码一区二区毛片-97久久精品亚洲中文字幕无码

服務熱線:400-858-9000 咨詢/投訴熱線:18658148790
國內專業的一站式創業服務平臺
晶圓代工,戰火蔓延
06/24
長期以來,在摩爾定律的驅動下,晶圓代工廠一直緊追芯片制程工藝一路向前。時至今日,這場決賽的最后僅剩臺積電、三星和英特爾,在先進制程節點展開肉搏。
本文來自于微信公眾號“半導體行業觀察”(ID:icbank),作者: L晨光,投融界經授權發布。

近年來,在人工智能、移動和高性能計算應用的驅動下,半導體市場逐漸復蘇,市場對于先進制程產能的需求非常旺盛。據數據預測,全球芯片制造產能中,10nm以下制程占比將會大幅提升,將由2021年的16%上升至2024年近30%。

另一方面,瞄準先進制程的幾大巨頭間的競爭也十分激烈,都意在通過展示綜合實力獲得更多市場份額。

在這場晶圓代工行業的反擊和保衛戰中,臺積電、三星和英特爾都在不斷創新,爭奪制程技術的領先地位。臺積電會繼續“封神”嗎?多面出擊的三星和英特爾,又將奪得幾杯羹?

臺積電披露工藝路線與前景展望

作為半導體行業的領導者,臺積電在過去的30多年中立下赫赫戰功,成為世界第一大芯片代工企業。

在半導體科技的快速演進中,臺積電一直是全球先進制程技術的引領者。

臺積電工藝路線圖披露

近期,臺積電又宣布了一系列雄心勃勃的工藝路線圖更新,預示著半導體制造即將邁入一個前所未有的時代——?ngstr?m級工藝節點到來。

根據其工藝路線圖顯示,在2025年至2026年間,臺積電即將推出的幾項關鍵工藝技術,包括N3X、N2、N2P,以及革命性的A16工藝,揭示它們如何推動技術邊界,以及這些進步對電子產品性能、能耗和未來技術發展的影響。

晶圓代工,戰火蔓延

臺積電工藝路線圖

N3P:作為N3工藝的增強版,N3P在性能、功耗和密度方面進一步優化,為客戶提供更多選擇。

N3X:面向極致性能的3納米級工藝,通過降低電壓至0.9V,在相同頻率下能實現7%的功耗降低,同時在相同面積下提升5%的性能或增加約10%的晶體管密度。

N2:臺積電首個采用全柵(GAA)納米片晶體管技術的節點,GAA晶體管通過環繞溝道四周的柵極提高了對電流的控制能力,從而顯著提升PPA特性,相較于N3E有明顯進步,N2可使功耗降低25%-30%,性能提升10%-15%,晶體管密度增加15%。

N2P:N2的性能增強版本,進一步優化功耗和性能,在相同晶體管數量和頻率下,N2P預計能降低5%-10%的功耗,同時提升5%-10%的性能。適合對這兩方面都有較高要求的應用。

A16:臺積電的A16工藝首次引入背面供電網絡技術(BSPDN),這一創新直接將電源供應集成到晶體管的背面,極大地提升了電力傳輸效率和晶體管密度;同時結合GAAFET納米片晶體管,目標是在性能和能效上有顯著提升。A16將成為首個“埃級”工藝節點,標志著半導體制造進入一個新的時代。

晶圓代工,戰火蔓延

與N2P相比,A16在相同電壓和復雜度下,預計性能提升8%-10%,功耗降低15%-20%,芯片密度提升了1.1倍。這一技術的引入,將為高性能計算產品,尤其是那些對能源效率和信號路徑有極高要求的應用,開啟新的可能性。

綜合來看,臺積電這一系列工藝技術創新不僅展示了其在半導體制造領域的持續領導力,更為未來電子產品的性能升級、能源效率提升以及更廣泛的技術革新奠定了堅實基礎。尤其是隨著“埃”級工藝節點的到來,半導體行業正步入一個充滿挑戰與機遇的新時代。

另外,據了解臺積電的A16制程不依賴于最新的High-NA EUV技術,這使得成本更具競爭力,也符合了當前AI芯片公司對設計最佳化的迫切需求。

還值得關注的是,臺積電整個N2系列將增加全新的NanoFlex功能,該功能允許芯片設計人員在同一塊設計中混合和匹配來自不同庫的單元,以優化芯片的性能、功率和面積 (PPA)。

晶圓代工,戰火蔓延

相較于臺積電的N3制造工藝已經支持類似功能的FinFlex,由于N2依賴于GAAFET晶體管,因此NanoFlex能為臺積電提供了一些額外的控制:比如臺積電可以優化通道寬度以提高性能和功率,然后構建短單元(以提高面積和功率效率)或高單元(以提高15%的性能)。

時間方面,臺積電N2工藝將于2025年進入風險生產,并于2025年下半年進入大批量生產;性能增強型N2P和電壓增強型N2X將于2026年問世;A16先進制程預計將于2026年下半年推出。

臺積電市場展望:AI需求強勁,車用與工控疲軟

從市場研究機構TrendForce集邦咨詢近日公布的2024年第一季全球前十大晶圓代工企業營收排名來看,盡管AI相關HPC需求相當強勁,TSMC第一季仍受到智能手機、NB等消費性備貨淡季,營收季減約4.1%,收斂至188.5億美元,由于其他競業同樣面臨消費淡季挑戰,因此市占維持在61.7%。第二季隨著主要客戶Apple進入備貨周期,及AI服務器相關HPC芯片需求持續穩健,有機會帶動營收呈個位數季成長率走勢。

晶圓代工,戰火蔓延

另有數據顯示,2024年前五個月,臺積電的營收同比增長27%,遠超行業平均水平,這表明臺積電的市場需求依然強勁。

目前臺積電占據著全球代工市場61%的市場份額,遠超排名第二的三星的11%。這種市場主導地位使得臺積電在客戶中具有很高的吸引力,許多頂尖的芯片制造商,如Nvidia、AMD、蘋果和高通等,都是其長期合作伙伴。

隨著AI技術迅速發展,AI芯片需求呈現爆炸式增長。臺積電歐亞業務資深副總暨副共同營運長侯永清指出,今年AI需求非常的強勁,手機跟PC業務也已經開始緩慢復蘇中,但是車用與工控需求仍稍微疲軟。

從數據來看,與去年相比,今年AI加速器增長大約2.5倍;PC市場今年會有1-3%增長;手機市場在經歷兩年衰退后今年會增長1-3%;車用芯片市場今年需求疲軟,業績預估衰退1-3%;IoT預估增長7-9%,但相較過往年增幅20%是呈現下滑。

花旗指出,大多數AI GPU目前使用4/5/7納米工藝,隨著AI PC和智能手機的需求增長,疊加芯片制造技術的逐漸成熟,預計其中的大多數將在2025年底前遷移到3納米工藝。而作為先進半導體技術的領頭羊,臺積電預計將在2025年獲得更多3納米芯片訂單,特別是來自蘋果、高通和聯發科等客戶。屆時,臺積電3納米工藝的利用率將保持緊張狀態。

臺積電此前也表示,預計2024年的資本支出在280億至320億美元之間,預計2025年可能增至350億至400億美元,這些巨額預算主要用于2/3納米工藝的研發和生產。

臺積電通過持續的技術創新和戰略投資,已經建立了堅實的市場基礎。

全球擴建產能,先進工藝留在臺灣

臺積電在中國臺灣生產了世界上大多數最先進的處理器,但近年來正在改變戰略,在將制造業務留在臺灣的同時,并在美國建立晶圓廠生產先進芯片,在日本生產相當先進的處理器,并在歐洲生產專用芯片。

據了解,臺積電自2022年到2023年新建了五座工廠,今年在建有七座工廠,其中三個是晶圓廠、兩個是封裝廠,還有兩個海外晶圓廠,今年臺積電先進制程占67%

在代工方面,在臺灣新建的新竹Fab 20和高雄Fab 22均為2nm晶圓廠,目前已開始裝機,預計2025年量產。

從全球來看,臺積電在美國亞利桑那州計劃投資650億美元興建三座尖端制程晶圓廠。其中,第一座晶圓廠已經開始裝機,預計明年量產4nm;2022年底動工的第二座晶圓廠,預計2028年量產3nm;第三座晶圓廠還在規劃中,預計2030年之前進入量產。

在日本熊本,臺積電計劃建設兩座晶圓廠,熊本第一座晶圓廠2022年4月動工,預計今年第四季度量產22/28nm和12/16nm制程;熊本二廠預計2027年量產6/7nm制程。在德國臺積電將建16nm晶圓廠,預計今年第四季度動工,2027年量產。

圍繞先進封裝,臺積電也在加速擴大CoWoS和SoIC產能。根據規劃,到2026年CoWoS生產線的年復合增長率將超過60%,到年底產能將是2023年的4倍。SoIC產能將比2023年增長8倍,年復合增長率高達100%。

多管齊下,臺積電拉開了其大膽路線圖的帷幕,在先進工藝、先進封裝、國際化布局層面勾勒了宏偉藍圖。但其也表示將最關鍵的開發留在中國臺灣。

今年5月,中國臺灣新任科技部部長吳正文表示,他相信臺積電能夠保護其專有的先進技術,并在向國際擴張的同時繼續在中國臺灣建設其尖端晶圓廠。盡管臺積電在全球發展,但其最先進的技術開發仍將在中國臺灣得到保障。

臺積電:全球工廠復制計劃

臺積電近期也證實,其海外晶圓廠復制了中國臺灣首次采用的技術和工藝配方。

目前業界已充分認識到,大型跨國晶圓廠需具備一套流程來復制其設施。隨著Gigafab(超級晶圓廠)規模的擴大,為了保持必要的生產量并避免由于必須重新調整晶圓廠而產生的季度瓶頸,芯片制造商需能夠迅速將新的及更新的制造工藝應用到其他設施。

英特爾已因其實施的“精確復制”計劃而聞名,該計劃允許其全球各地的晶圓廠共享工藝配方,以提升產量并降低性能波動,這成為了英特爾的主要競爭優勢之一。

同樣,隨著臺積電在全球范圍內不斷增設新產能,也開始實施類似的計劃,以便盡快提升其在日本和美國新建晶圓廠的產量和效率。

據悉,臺積電實施了統一的晶圓廠管理,以確保全球范圍內的超級晶圓廠能實現一致的運營效率和生產質量。同時,還在全球業務中致力于可持續發展,這涵蓋了綠色制造、全球人才培育、供應鏈本地化以及履行社會責任。

談到工藝技術的改進時,主要有兩種主要機制:用于提高產量的持續工藝改進(CPI),以及減少性能變化的統計過程控制(SPC)。為此,通過全球Gigafab制造,臺積電可以使用CPI和SPC通過在不同站點之間共享知識來提高全球范圍內的產量和性能。

臺積電或將啟動新一輪漲價

近期,多家芯片廠商和晶圓代工廠陸續宣布價格調整。

隨著頭部客戶(英偉達、AMD、英特爾、高通、聯發科、蘋果及谷歌)陸續導入3 納米制程,臺積電訂單已滿至2026年。為此,臺積電或將啟動新一輪漲價談判。

在6月4日的股東大會上,剛剛全面掌舵臺積電的新任董事長魏哲家表示,目前所有的AI半導體全部是由臺積電生產。暗示有漲價想法,3nm代工報價漲幅或在5%以上。

需要注意的是,晶圓代工廠的“內卷”已經出現收斂的信號。雖然目前晶圓廠漲價尚未成為既定事實,但各大晶圓廠的產能利用率已經明顯提升,不少廠商已出現滿產、甚至利用率超100%的情況。

在業內看來,晶圓代工環節稼動率的持續提升以及部分代工廠的滿產,未來將帶來價格上漲的彈性。

此外,AI熱潮極大地推升了CoWoS需求,臺積電先進封裝產能供不應求也將延續至2025年。據悉,臺積電先進封裝明年年度報價漲幅在10%-20%。

重重困境下,三星代工如何突圍?

在先進制程芯片這場激烈的競賽中,臺積電猶如一位穩健的領跑者,而三星則似乎陷入了重重困境。

尤其是在尋求突破3nm工藝的過程中,三星電子良率和能效問題尤為突出。然而,隨著全球無晶圓廠半導體公司和科技巨頭紛紛將目光投向3nm工藝,作為主流技術的未來趨勢已然明朗。在這一大背景下,臺積電憑借其卓越的技術實力,吸引了眾多公司的青睞,英偉達、AMD、英特爾、高通、聯發科、蘋果、谷歌等業界巨頭已紛紛決定將訂單交付給這位全球最大的代工芯片制造商。這一決策無疑進一步拉大了臺積電與三星電子在市場份額上的差距。

盡管三星曾一度在3nm工藝領域占據先機,但現實卻頗為殘酷。據報道,三星電子代工部門的原型在關鍵指標上明顯落后于臺積電,從而使其在這場競賽中失去了早期的優勢地位。谷歌和高通等公司的選擇更是對三星的一次沉重打擊,它們在經過深思熟慮后,最終決定將訂單交給臺積電,這無疑讓三星的處境雪上加霜。

據TrendForce數據顯示,今年第一季度,三星電子的代工市場份額有所下滑,而臺積電的市場份額則有所上升。這一趨勢預示著未來臺積電在半導體代工領域的地位將更加穩固,而三星則需要在技術和市場策略上做出更多努力以追趕領先者。

三星公布未來工藝路線圖

日前,三星在2024年三星代工論壇上公布了其芯片制造工藝技術的最新路線圖,涉及的重點包括2納米/1.4納米工藝,以及將在未來三年內向客戶提供具有背面供電技術的路線圖。

其中,SF2節點(以前稱為SF3P)預計會在2025年推出,主要針對高性能計算和智能手機應用而設計。與3nm工藝(SF3)相比,三星的2nm工藝性能提升12%,功率效率提升25%,面積減少5%。

2026年,三星計劃推出SF2P,這是SF2的性能增強版本,其特點是速度更快但密度更低;2027年,三星將發布SF2Z,該產品將采用背面供電技術(BSPDN),從而提高性能并增加晶體管密度。此外,這一改進還旨在提高電源質量和管理壓降(IR Drop),以應對先進芯片生產過程中的關鍵挑戰。

三星SF1.4節點(1.4納米)計劃,標志著三星將有望在2027年進入1.4 納米級別賽道。與SF2Z不同的是,SF1.4將不包括背面電源傳輸,這使三星有別于英特爾和臺積電,后者將在其2nm級和1.6nm級節點上引入背面供電技術。

晶圓代工,戰火蔓延

除了推出高端節點外,三星還發布了SF4U節點,這是4納米級節點的高性價比變體,通過光學收縮提高了功率、性能和面積,預計將于2025年量產。

三星最新的工藝技術路線圖展示了其在2nm及以下節點上的持續創新和發展。隨著BSPDN技術的引入和1.4nm節點的推出,三星致力于在半導體制造領域保持領先地位。

在過去一年中,三星代工的AI需求相關銷售額增長了80%,預計到2028年,其AI芯片代工客戶數量將比2023年增加4倍,代工銷售額將比2023年增加9倍。

三星電子正在開發一種集成了封裝晶圓代工非內存半導體和HBM的AI解決方案,旨在制造出既高性能又低能耗的AI芯片。與現有技術相比,這一新工藝有望將研發到生產的時間縮短大約20%。

三星電子總裁兼代工業務負責人崔時永博士表示:“在眾多技術圍繞人工智能不斷發展的時代,實現人工智能的關鍵在于高性能、低功耗的半導體。除了針對AI芯片優化的成熟GAA工藝外,我們還計劃推出集成式共封裝光學 (CPO) 技術,以實現高速、低功耗的數據處理,為客戶提供一站式人工智能解決方案。”

晶圓代工,戰火蔓延

此外,在論壇上,三星還分享了其2027年采用硅光子的計劃,這是其首次宣布此類計劃。利用光纖在芯片上傳輸數據,與I/O相比,預計數據傳輸速度將大幅提高。

三星晶圓廠,又丟客戶

正如上文所述,客戶陸續決定將訂單交給臺積電,無疑讓三星的處境雪上加霜。

近日又有消息指出,此前曾使用三星代工廠的設計公司Gaonchips作為其生產合作伙伴的DeepX,最近與臺積電的設計公司合作伙伴Asicland簽署了一項協議,計劃使用臺積電的先進節點來制造具有神經處理單元(NPU)的SoC。

此外,盡管三星為AMD提供3nm制程服務的傳聞已久,但AMD CEO Lisa Su在2024年臺北國際電腦展的發布會上強調,公司仍在與臺積電合作。

不難看出,搶奪競爭對手的先進制程訂單有多么困難。

除了上面提到的3nm客戶丟失外,三星的4nm工藝同樣在此付出了代價。

本來高通連續兩代芯片交給三星代工,但是據說三星的4nm工藝只有35%的良率,這使得產能始終上不去,導致高通不得不把訂單轉給了臺積電,讓后者為其代工4nm的驍龍8 Gen 1 Plus芯片。后續從驍龍8+、驍龍8 Gen 2到驍龍7+芯片,高通都已經轉向了臺積電。

英偉達的RTX 40顯卡也放棄了三星,改用臺積電的5nm工藝,且未來這些廠商都會繼續和臺積電合作。

能看到,三星為自己芯片工藝的良率付出了慘痛的教訓,后續其工藝制程除了要跟上節奏之外,三星晶圓代工部門還需要全力以赴來提高良率,否則因良率不高導致無人問津的故事或將重演。

英特爾代工,瞄向尖端節點

新一輪AI浪潮引發的算力需求急速膨脹,在將GPU之王英偉達捧上神壇的同時,也讓英特爾這位CPU霸主顯得有些落寞。

2024年第一季度財報顯示,英特爾營收保持增長,但利潤卻無較大起色。但面對競爭,英特爾仍有沖勁,從其大舉押注AI芯片及芯片代工的動作來看,英特爾正在全新AI時代找回失落的王座。

從代工業務來看,英特爾也同樣在積極推進其戰略目標尖端節點的開發。

英特爾近期宣布,已經開始為客戶批量生產intel 3工藝,Intel 3代表了英特爾IDM 2.0戰略中的第三個工藝節點,該戰略旨在四年內開發五個工藝節點,并將成為第一個針對代工廠制造的先進節點。

晶圓代工,戰火蔓延

Intel 3工藝節點帶來的一些重大優勢包括更密集的設計庫、更大的晶體管驅動電流和更多EUV的使用。該節點還有三種變體,包括3-T、3-E 和 3-PT。前兩種變體與Intel 4相比,每瓦性能提升了18%,而PT則帶來了額外的性能并且易于使用。所有四種節點變體都支持240nm高性能和210nm高密度庫。其中,“T”代表硅通孔 (TSV),這是一種垂直方向的電氣連接,可實現芯片元件之間或堆疊芯片之間的高速互連。

晶圓代工,戰火蔓延

在英特爾看來,Intel 3將在未來至少十年內長期支持代工廠客戶,從而為汽車和物聯網等需要更長生命周期的應用打開大門。Intel 3的生產爬坡不僅僅是一項制造成就,它代表了英特爾代工廠的一個重要里程碑和證明點。

值得一提的是,Intel 3節點是英特爾路線圖上的最后一代FinFET節點,因為從下一代開始,英特爾就開始推出了其GAA晶體管RibbonFET。

在5月的財報電話會議上,Intel CEO帕特·基辛格(Pat Gelsinger)指出,公司的第一代GAA RibbonFET工藝,即intel 20A,有望在今年推出;后續產品是intel 18A,預計將于2025年上半年投入生產,并逐步推進至2027年的Intel 10A節點。

晶圓代工,戰火蔓延

英特爾兩大利器分別是RibbonFET和PowerVia技術:RibbonFET是英特爾對GAA晶體管的實現,它將成為英特爾自2011年率先推出FinFET以來的首個全新晶體管架構;PowerVia是英特爾獨有的、業界首個背面電能傳輸網絡,通過消除晶圓正面供電布線需求來優化信號傳輸。

在英特爾的規劃中,還將率先采用ASML最新的High-NA EUV光刻機,這也是與競爭對手不同的點。英特爾表示,新工具能夠大幅提高下一代處理器的分辨率和功能擴展能力,使英特爾代工廠能夠在英特爾18A之后繼續保持工藝領先地位。

與此同時,英特爾也在持續加大力度,今年2月公布了Intel 14A制程,采用了High-NA EUV技術,預計最快于2026年量產。而最新的14A-E版本則在14A基礎上進一步提升了能耗效率。

晶圓代工,戰火蔓延

英特爾強調了與ASML TWINSCAN EXE:5000 High-NA EUV光刻設備結合的重要性,這將為英特爾的制程技術帶來新的突破,進一步推動了制程藍圖的實現。

英特爾:力爭成為全球第二大代工廠

英特爾的“全新制程技術路線圖”證實,其“四年五個制程節點”路線圖仍在穩步推進,并將在業內率先提供背面供電解決方案。英特爾預計將于2025年通過Intel 18A制程節點重獲制程領先性。

能看到,英特爾正在規劃一條新的道路,試圖讓自己成為晶圓代工市場的重要參與者,與臺積電、三星等晶圓代工廠競爭,希望重新獲得全球領先芯片制造商的地位。

英特爾的目標是在2030年成為全球第二大的半導體制造工廠。為了實現這一目標,英特爾正在加強執行力,推動面向AI時代的系統級代工,打造前沿并具多元化的制造能力。

此外,英特爾還重點介紹了其在成熟制程節點上的進展,如今年1月份宣布與UMC聯合開發的全新12納米節點。英特爾代工計劃每兩年推出一個新節點,并一路推出節點的演化版本,通過英特爾領先的制程技術幫助客戶不斷改進產品。

英特爾代工還宣布將FCBGA 2D+納入英特爾代工先進系統封裝及測試(Intel Foundry ASAT)的技術組合之中,這一組合將包括FCBGA 2D、FCBGA 2D+、EMIB、Foveros和Foveros Direct等技術。

英特爾代工策略&;換帥

自從Pat Gelsinger于2021年重返英特爾CEO職位以來,公司便積極調整戰略,致力于擺脫傳統產品和制造技術難以按計劃實現的困境。蓋爾辛格領導下的英特爾,以“IDM2.0”為核心戰略,持續推動新產品和制造技術的研發與落地。

作為IDM2.0戰略的重要組成部分,英特爾推出了IFS(Intel Foundry Service)業務,與傳統的制造模式不同,IFS將英特爾的制造設施開放給除Intel產品部門外的其他公司,承接它們設計的半導體產品的制造。這一創新模式不僅拓展了英特爾的業務范圍,也為其帶來了更多的合作機會和市場空間。

半導體產業被譽為“規模經濟”的代表,生產規模對制造效率具有重要影響。當前,諸如TSMC等專注于受托制造高性能半導體的廠商,在規模上已經超越了僅面向Intel制造的Intel制造部門。然而,英特爾通過IFS業務的推出,正積極尋求在規模和效率上的平衡,以實現更大的市場份額和競爭優勢。

英特爾通過明確的目標、積極的路線圖、創新的IDM2.0戰略以及IFS業務的推出,正逐步在半導體產業中展現出其強大的競爭力和領導力。

在一個多月前,英特爾宣布聘請了資深行業人士凱文·奧巴克利擔任其代工芯片制造業務的高級副總裁兼總經理,標志著英特爾在代工領域的新一輪戰略布局正式啟動。奧巴克利在IBM、格芯、Avera Semiconductor以及Marvell等知名企業擔任過高級職位

奧巴克利的到來,無疑為英特爾的代工業務注入了新的活力。他將在5月底接替即將退休的斯圖·潘恩,成為執行領導團隊的一員,直接向CEO帕特·基辛格匯報工作。這一變動,不僅體現了英特爾對于代工業務的重視,也彰顯了其對于未來半導體市場發展趨勢的深刻洞察。

隱瞞巨額虧損,英特爾面臨集體訴訟

據外媒近日披露,英特爾正面臨一項集體訴訟,投資人指控其涉隱瞞“英特爾代工服務”部門約70億美元的巨額虧損。

報道稱,投資人指控英特爾在今年1月報告2023年業績時,沒有正確披露制造部門的虧損情況。訴狀指控,英特爾夸大其代工服務部門的成長和利潤,該部門在2023年實際遭受巨額虧損,產品利潤也下降,這使得公司及其代工策略的正面表態具有誤導性,涉嫌虛假陳述或隱瞞行為。

不僅如此,英特爾還將約30%的產能外包給臺積電等晶圓代工廠商,這一行為也進一步激怒了投資者。

訴狀具體列舉了英特爾涉嫌的虛假陳述或隱瞞行為,包括:

英特爾代工服務的成長并不代表內部部門可報告的收入成長;

英特爾代工部門在2023 年出現重大經營虧損;

由于內部收入下降,該部門的產品利潤出現下滑;

因此,代工模式不會成為公司整合封裝測試(IFS)策略的有力推手;

由于上述原因,被告關于公司業務、營運和前景的積極表態在實質上具有誤導性或缺乏合理依據。

此訴訟由Levi &; Korsinsky律師事務所發起,該所呼吁英特爾投資者加入針對該公司的集體訴訟。

先進制程之外,

三巨頭“火拼”先進封裝

從三家代工巨頭的路線圖來看,先進晶圓代工市場競爭激烈,臺積電和三星在先進制程上競爭,英特爾四年五個節點制程開發依計劃進行中。

三大晶圓代工巨頭動作頻頻,正在進行新一輪的競爭激戰。

晶圓代工,戰火蔓延

數據參考:全球半導體觀察

誰將成為下一個晶圓代工業的“王者”或許仍是一個懸而未決的問題,但可以確定的是,持續的創新和技術突破將成為決定性因素。

而另一邊,在摩爾定律逐漸放緩的趨勢下,僅僅從微縮晶體管,提高密度以提升芯片性能的角度正在失效。對此,先進封裝成為后摩爾時代彌補芯片性能和成本的重要解決方案之一。

這也成為了晶圓代工巨頭們的新戰場。

其中,臺積電是全球先進封裝技術的領軍者,旗下3D Fabric擁有CoWoS、InFO、SoIC等多種先進封裝工藝。

晶圓代工,戰火蔓延

CoWoS是臺積電最經典的先進封裝技術之一。2011年至今,臺積電的CoWoS工藝已經迭代至第五代,期間中介層面積、晶體管數量、內存容量不斷擴大。英偉達、AMD、博通、Marvell等都是臺積電CoWoS工藝的大客戶。

晶圓代工,戰火蔓延

臺積電CoWoS結構示意圖

自AIGC爆火之后,CoWoS也隨之成為行業焦點,行業重量級客戶持續對臺積電追加CoWoS訂單。為應對大客戶需求,臺積電加快CoWoS先進封裝產能擴充腳步。

此外,臺積電還開發了廉價版的CoWoS技術,即InFO技術,降低了單位成本和封裝高度。這也是InFO技術在移動應用和HPC市場成功的重要原因,為臺積電后來能獨占蘋果A系列處理器打下了關鍵基礎。

除了CoWoS和InFO,2018年,臺積電首度對外界公布了創新的系統整合單芯片(SoIC)多芯片3D堆疊技術SoIC,這標志著臺積電已具備直接為客戶生產3D IC的能力。作為業內第一個高密度3D chiplet堆疊技術,SoIC被看作“3D封裝最前沿”技術。

憑借其凸塊密度更高,傳輸速度更快,功耗更低等優勢,SoIC或將成為行業未來發展的主要封裝技術趨勢。當前,臺積電也正在積極上調SoIC的產能計劃,計劃到2024年年底,月產能躍升至5000-6000顆,以應對未來AI和HPC的強勁需求。

可見,臺積電憑借其領先的先進封裝技術吃盡紅利。

當然,英特爾,三星兩位強敵在此領域也絲毫不敢懈怠。

英特爾通過多年技術探索,相繼推出了EMIB、Foveros和Co-EMIB等多種先進封裝技術,在互連密度、功率效率和可擴展性三個方面持續精進。

從英特爾發布的先進封裝技術藍圖來看,其計劃將傳統基板轉為更為先進的玻璃材質基板,以實現新的超越;同時英特爾也將在布局硅光模塊中的CPO(共封裝光學)技術,通過玻璃材質基板設計,利用光學傳輸的方式增加信號交換時的可用頻寬,以優化算力成本。

晶圓代工,戰火蔓延

英特爾先進封裝路線圖(圖源:英特爾官網)

結合英特爾在先進制程上一系列動態,外界預期,英特爾將結合先進制程與先進封裝兩條線,希冀在晶圓代工領域實現“1加1大于2”的效果。

三星在2.5D/3D先進封裝技術領域同樣也在積極布局,并已經推出I-Cube、X-Cube等先進封裝技術。針對2.5D封裝,三星推出的I-Cube技術可以和臺積電的CoWoS技術相媲美。針對3D封裝,三星在2020年推出X-Cube技術,將硅晶圓或芯片物理堆疊,并通過TSV連接,最大程度上縮短了互聯長度,在降低功耗的同時提高傳輸速率。

另外,三星計劃在2024年量產可處理比普通凸塊更多數據的X-Cube封裝技術,并預計2026年推出比X-Cube處理更多數據的無凸塊型封裝技術。擁有從存儲器、處理器芯片的設計、制造到先進封裝業務組合的優勢。

晶圓代工,戰火蔓延

三星先進封裝技術路線圖(圖源:方正*券研究所)

綜合來看,先進芯片是工藝的決戰,也是先進封裝的交鋒。先進封裝與制程工藝可謂相輔相成,在提高芯片集成度、加強互聯、性能優化的過程中扮演了重要角色,是性能持續提升的重要保障。對于在工藝節點時間上的爭奪,先進封裝已成為三大巨頭“不可忽視”變數。

更多先進封裝詳細技術細節和廠商布局情況,筆者在此前文章《臺積電“攻防戰”》、《先進封裝大戰,升級!》中有過詳細介紹,更詳細內容可跳轉前文了解。

寫在最后

Counterpoint數據顯示,半導體行業在2024年第一季度已顯露出需求復蘇的跡象。行業在經過連續幾個季度去庫存后,渠道庫存已經趨于正常化。AI的強勁需求和終端產品需求的復蘇將成為2024年晶圓代工行業的主要增長動力。

隨著全球經濟的逐步復蘇和半導體需求的增加,這些確實可能會幫助晶圓代工廠抓住市場機遇,提高產能利用率,并增強與客戶的合作關系。

然而,半導體行業是一個高度競爭和快速變化的領域,晶圓廠需要不斷創新和調整戰略,以適應市場的長期變化。此外,還需要關注全球供應鏈的穩定性、技術進步以及政策和地緣政治因素的影響。

回到行業格局來看,臺積電一家的產能占比縱然超過60%,但仍難以完全維持龐大的先進制程市場。哪怕三星和英特爾的芯片會陷入性能或良率“滑鐵盧”的風險,也依舊會有廠商在產能和價格因素的驅動下,愿意去“嘗嘗螃蟹”。

未來,圍繞先進工藝和先進封裝的技術之爭將在臺積電、三星和英特爾之間長期進行,代工三巨頭的拉鋸戰也將成為推動摩爾定力繼續前行的動力,推動下一個“彎道”時刻的到來。

晶圓 代工 半導體
評論
還可輸入300個字
專欄介紹
半導體行業觀察
43篇文章
最有深度的半導體新媒體,實訊、專業、原創、深度,50萬半導體精英關注!專注觀察全球半導體最新資訊、技術前沿、發展趨勢。《摩爾精英》《中國集成電路》共同出品,歡迎訂閱摩爾旗下公眾號:摩爾精英MooreElite、摩爾芯聞、摩爾芯球
+關注
400-858-9000
免費服務熱線
kefu@trjcn.com
郵箱
09:00--20:00
服務時間
18658148790
投訴電話
投融界App下載
官方微信公眾號
官方微信小程序
Copyright ? 2024 浙江投融界科技有限公司(m.lezhaigou.com) 版權所有 | ICP經營許可證:浙B2-20190547 | 浙ICP備10204252號-1 | 浙公網安備33010602000759號
地址:浙江省杭州市西湖區留下街道西溪路740號7號樓301室
浙江投融界科技有限公司trjcn.com版權所有 | 用戶協議 | 隱私條款 | 用戶權限
應用版本:V2.7.8 | 更新日期:2022-01-21
 安全聯盟
在線客服
手機APP
微信訂閱
主站蜘蛛池模板: 中文字幕av无码一区二区三区| 蜜桃网站入口可看18禁 | 精品无人码麻豆乱码1区2区| 国产成本人片免费av| 国产精品一区二区av麻豆| 脱了美女内裤猛烈进入| 欧洲成人午夜精品无码区久久| 三年片免费观看大全有| 免费看a级肉片| 三年片免费大全国语| 色综合天天综合网国产| 亚洲成在人线aⅴ免费毛片| 久久久无码中文字幕久...| 亚洲av日韩av天堂一区二区三区| 九九re6热在线视频精品66| 成熟丰满熟妇高潮xxxxx视频| 国产激情无码视频在线播放性色| 亚洲中文字幕无码一区二区三区 | 精品一区二区三区免费视频| 国产精品沙发午睡系列| 亚洲电影在线观看| 少妇无码一区二区三区免费| 97久久婷婷五月综合色d啪蜜芽| 22222se男人的天堂| 好爽好紧好大的免费视频国产 | 老汉色老汉首页a亚洲| 熟妇人妻系列aⅴ无码专区友真希| 日本大骚b视频在线| 人人玩人人添人人澡东莞| 欧美无人区码suv| 午夜性爽快| 精品人妻大屁股白浆无码| 夫妇交换性三中文字幕| 爆乳3把你榨干哦ova在线观看| 无码日韩av一区二区三区| 又粗又大又硬毛片免费看| 97无码免费人妻超级碰碰夜夜| 激性欧美激情在线| 亚洲精品无码久久久久久| 国产-第1页-浮力影院| 免费人成视频|